Contact Us
Blog >> Blog Details Page

Xilinx FPGA vs Altera FPGA: What Is the Difference?

Posted:05:34 PM February 16, 2023 writer: ​NextPCB

Difference Between Xilinx and Altera FPGAs

When starting a new project, you might want to consider a field programmable gate array (FPGA) for your application. The two most popular choices for FPGAs are Xilinx (AMD) and Altera (Intel).

We enjoy having feuds, don't we? Coke vs. Pepsi, Nike vs. Adidas, Microsoft vs. Apple, iOS vs. Android, and the list goes on and on... When two capable rivals face off and achieve a level of parity, we get the makings of an aficionado, ideology, moniker, and personal vendetta spat. In the FPGA industry, that rivalry is between Xilinx and Altera. But how do you know which FPGA you should use? In this blog post, we will talk about the differences between the two, Xilinx vs Altera FPGAs.

Altera and Xilinx are two of the leading names in the FPGA world. For the past three decades, these two companies have been locked in fierce competition for leadership in the FPGA market. Xilinx is one of the first players and is currently the biggest name in the FPGA world. They have an estimated total logic market of $57 billion. Xilinx was the first to introduce FPGAs, leading to the first epoch of market expansion, and pioneering the age of invention, expansion, and innovation. While Altera, coming in at a close second, has adopted a strategy to expand the use cases of FPGAs. They have included dedicated tools, allowing arbitrary logic designs to be mapped and loaded into FPGA arrays in seconds to hours, while the physical loading into the array can be done within tens of milliseconds. 

Difference Between Xilinx and Altera FPGAs

A Battle for FPGA Leadership

One of the main distinctions between the two FPGA boards lies in their approach and logic unit structure. Altera makes use of programmable clock tree synthesis rather than the direct approach followed by Xilinx. Xilinx boards are preferable for general logic as their designs are primarily for application development. On the other hand, Altera boards are geared toward industrial purposes and are more suitable for number crunching. Altera's FPGAs are more tailored toward system-on-chip designs, while Xilinx's focus is more on programmable logic.

In terms of performance, Intel has recently ramped up its FPGA battle with Xilinx, claiming a 40% performance improvement in its Agilex development. Intel's recent acquisition of Altera has given the latter an edge in the accelerator market due to its price and integration with Intel's Xeon.

In terms of architecture, Altera's FPGA products are more amenable to subtle optimizations. They have won the business on price and integration with Xeons in the accelerator market due to its new ownership by Intel. Furthermore, in terms of process nodes, Altera has won at 40/45nm while Xilinx has won at 28nm and 20nm.

A Battle for FPGA Leadership

The rivalry between Altera and Xilinx has been ongoing for decades. Both companies continue to strive for innovation in the FPGA market. The table below lists comparable devices from Altera and Xilinx. You may find it useful as a starting point for converting from Xilinx to Altera and vice versa.

FPGA Device Family Comparison:

Application Xilinx* Devices IntelR Devices
Highest performance

Versal* Prime

Versal* Premium

Intel® Agilex™ F-Series

Intel® Agilex™ I-Series

High performance

Virtex* UltraScale+*

Kintex* UltraScale+*

Xynq* UltraScale+*

Intel® Agilex™ F-Series

Intel® Agilex™ I-Series

Intel® Stratix® 10 GX

Intel® Stratix® 10 SX

Intel® Stratix® 10 TX

Intel® Stratix® 10 MX

Intel® Stratix® 10 DX

Mid-range

Virtex* UltraScale*

Kintex* UltraScale*

Zynq* -7000

Intel® Stratix® 10 GX

Intel® Stratix® 10 SX

Intel® Stratix® 10 TX

Intel® Stratix® 10 MX

Intel® Stratix® 10 DX

Intel® Arria® 10 GX

Intel® Arria® 10 SX

Low cost Artix* -7 Intel® Cyclone® 10GX

Altera and Xilinx High-End FPGA Series Comparison

These two companies provide high-end FPGA families. When it comes to comparing the high-end FPGA series of Altera and Xilinx, both companies have their own advantages and disadvantages.  Let us take a closer look at the most popular high-end FPGAs from Xilinx (AMD) and Altera (Intel) in the same category: ZCU11EG vs SX650.

Xilinx ZU11EG vs Altera Stratix 10 SX650 series

Xilinx ZU11EG vs. Altera Stratix 10 SX650 series

What are the Key Differences between the Xilinx ZU11EG and Altera Stratix 10 SX650 Series FPGAs?

The key differences between the Xilinx ZU11EG and Altera Stratix 10 SX650 series FPGAs are in their logic elements, onboard RAM, multipliers, clocking structure, development tools, and simulation environment.

  • The Xilinx ZU11EG has 653k logic elements and 52.5Mb onboard RAM. On the other hand, the Altera Stratix 10 SX650 series has 612k logic elements, 207k ALM, and 52Mb onboard RAM.
  • In terms of multipliers, although a direct comparison of the multipliers currently offered in both devices is not probable due to the differing structure of multipliers in both architectures, the comparable DSP slice numbers with Altera (Intel) multipliers combined with the floating-point multiplication support on Altera devices have made Altera the serious contender in multiplier capability. The Altera Stratix 10 SX650 series has an advantage, with a larger array of RF & 5G IPs and floating-point arithmetic support which makes it better suited for 5G, RF, or image processing-based systems, while the ZU11EG's multipliers are more limited.
  • For clocking structure, the Xilinx ZU11EG uses a Clock Management Tile for clocking. Meanwhile, the Altera Stratix 10 SX650 series uses Programmable Clock Tree Synthesis. The UltraScale architecture CLBs on Xilinx devices have real 6-input look-up table (LUT) / Dual LUT5 (5-input LUT) with dedicated high-speed carry logic for arithmetic functions, while Altera CLBs have more complicated LUT structures but with 8-bit LUT per ALM.
  • In terms of development tools, Vivado has slower compilation times, while Quartus Prime has faster synthesis and implementation results. The default simulator for Quartus Prime is ModelSim, while Vivado uses Isim. On the other hand, users frequently utilize QuestaSim for all simulation needs in industrial-grade projects.

What are the resources available on the Xilinx ZU11EG and Altera Stratix 10 SX650 series FPGAs?

Both devices have dedicated high-speed carry logic for arithmetic functions and dedicated storage elements that can be configured as flip-flops or latches with flexible control signals. In terms of multipliers, Altera's device has a larger array of RF & 5G IPs as well as floating-point arithmetic support.

Which FPGA is better for 5G, RF, or Image Processing-based Systems?

Altera Stratix 10 SX650 series is the better choice for 5G, RF, or image processing-based systems due to its large array of RF & 5G IPs, as well as its support for floating-point arithmetic. Xilinx's devices are better suited for video protocol implementations and fixed data manipulation due to their advanced routing network and better concept-to-market time.

You may notice that Xilinx has slightly better performance but lags behind in terms of design tools. Altera has better design tools but then slightly trades off on performance.

However, both FPGAs have marked special positions in different streams. Xilinx SoC typically being used in end products, while Altera specializes in image processing. The biggest difference between the two companies is their logic unit, with Xilinx's being more compelling than Altera's.

A comparison of the two FPGAs with ASICs also reveals some interesting points, with both sides possessing unique strengths. For instance, Altera FPGAs generally offer higher performance, but require more power than ASICs, while Xilinx FPGAs are more power efficient. Furthermore, Altera's Intel Agilex FPGA is known to have achieved 30% to 50% higher performance and 2x performance per watt than Xilinx Versal, making it a leader in innovation and setting it apart from Xilinx in this comparison.

Overall, both Xilinx and Altera's high-end FPGA families provide a wide range of features and performance levels that make them suitable for a variety of applications. The device you select should still be based on the requirements of your project.

Altera vs. Xilinx: How do They Compare in Features

Altera vs. Xilinx How do they compare in features

When it comes to features, Xilinx and Altera FPGAs both have their own unique advantages and disadvantages. They can both have benefits and drawbacks, with each having its own quirks in terms of how the tools function and programming styles. There are many ways to compare, but still, your choice boils down to your project's specific requirements. Let us explore the common ones.

FPGA Feature Comparison 

What are the main differences between Altera and Xilinx FPGAs?

The primary distinction between Xilinx and Altera FPGA is in how IP blocks communicate. Altera's proprietary communication protocol known as Protocol-Level Interconnect (PLI) is the traditional method of communicating with IP blocks between devices. Altera's PLI enables direct and efficient communication between IP blocks. The IEEE 1394 interface is used by the Xilinx series FPGA boards. It is an open, industry-standard protocol that is supported by all FPGA devices.

Altera vs Xilinx FPGAs
  • Xilinx's main unit structure consists of a configurable logic block (CLB), a clock management module (CMT), memory (RAM/FIFO), a digital signal processing module (DSP), and some special modules. Altera's unit consists of a logic array module (LAB), a TriMatrix memory module (RAM), a digital signal processing module (DSP), and a phase-locked loop module (PLL)
  • Xilinx's CLB contains two basic structures (Slice); each basic structure contains 4 lookup tables (LUT), 4 storage units, a wide function multiplexer (Wide_function Multiplexer), and carry logic. On the other hand, Altera's LAB includes 8 adaptive logic modules (ALM), carry chain, and control logic.
  • Xilinx has an in-built processor with PowerPC cores, while Altera offers Arm.
  • Altera's monolithic FPGA with separate die for IO vs. SLRs with embedded serializers for Xilinx. Advantage Xilinx: reduced latency to transceivers, greater fabric size flexibility, possibly extensive fabric. More transceiver flexibility and no SLR crossings are a plus for Altera.
  • IPI vs. Qsys
  • Altera FPGAs' fabric has hyper registers, which can aid with timing closure.
  • UltraRAM blocks are dispersed across the fabric of Xilinx FPGAs, while eSRAM is centralized in Altera's FPGAs.
  • Xilinx's RAM is a synchronous dual-port RAM and configurable as a multi-rate FIFO memory. Meanwhile, Altera's TriMatrix memory includes 512-bit M512 blocks, 4Kb M4K blocks, and 512Kb M-RAM blocks.
  • Xilinx's FPGA products provide Rocket IO gigabit transceivers, PCI Express endpoint modules, tri-state Ethernet MAC modules, and other dedicated modules. Altera's FPGAs have up to 12 phase-locked loops (PLL) and 48 independent system clocks. In this case, Altera has the advantage of being able to clear and reconfigure the entire FPGA fabric without interfering with the PCIe interface. Xilinx has an advantage in terms of board layout flexibility.

What are the advantages of Xilinx FPGAs?

AMD Xilinx

Xilinx FPGAs have many advantages over Altera FPGAs. Xilinx's short-term resources are very rich, which means that there is a high success rate of wiring when implementing logic. They also have dual-port RAMs, which make it easier to implement real dual-port RAMs. In addition, Xilinx FPGAs have several blocks of RAM, each 36KB, and the size of the RAM is flexible and configurable. They also have up to 12 phase-locked loops (PLL) and 48 independent system clocks.

The Xilinx series FPGA boards come with a free software development kit (SDK) and programmable logic design tools such as Altium Designer and Quartus II. The Altera series FPGA boards do not come with these additional software programs.

What are the advantages of Altera FPGAs?

Intel Altera

Altera FPGAs have some advantages over Xilinx FPGAs. Firstly, the Altera series has many improvements over the Xilinx series. Secondly, their products are generally cheaper due to their fewer resources. Third, their logic array module (LAB) contains 8 adaptive logic modules (ALM), which include two programmable registers, two dedicated full adders, a carry chain, a shared arithmetic chain, and a register chain. Additionally, they have a TriMatrix memory structure which includes 3 kinds of sizes of embedded RAM blocks for increased flexibility.

Should I learn Xilinx or Altera?

When deciding between Xilinx and Altera, one should first consider the tools available. Altera provides a free IDE with a logic analyzer, while Xilinx is more focused on FPGA and processor integration. Altera also offers FPGA chips and SoCs, while Xilinx specializes in programmable logic devices. It is important to understand the basics of digital logic when working with FPGAs. A course or at least thorough knowledge of this subject would be helpful.

It is clear that both Xilinx and Altera offer powerful and reliable FPGA products. Xilinx is suitable for those interested in processor and FPGA integration, while Altera is better for discrete logic applications. To get the most out of these FPGAs, those who are seriously interested in learning how to program FPGAs should consider taking a basic course in digital, focusing on discrete logic and familiarizing themselves with Intel Altera's Quartus Prime Development Suite.

Additionally, Intel's acquisition of Altera has been successful, and Intel's Webpack version 14.7 is preferred for simulation and synthesis. One should be able to implement a practical design on Xilinx hardware. He/she should also be able to debug a design on Xilinx hardware and understand the standard FPGA design flow.

Ultimately, it is up to the individual to decide which platform they want to learn. Still, with the tools available, either Xilinx or Altera should be suitable for those with a software engineering background.

Xilinx vs. Altera: How do they compare in performance and price 

FPGA Performance Comparison

Xilinx and Altera are two of the most prominent FPGA manufacturers in the market and are both renowned for their high-performance FPGA families.

The Xilinx vs. Altera FPGA performance comparison has been tested through benchmarking methodology, which is provided by Altera. This comparison has revealed that Intel Agilex FPGA achieved up to 30-50% higher performance and 2x performance per watt than Xilinx Versal. In addition, Intel leads in terms of innovation due to its Power Benchmark: Traffic Manager in 100G Line Card. Xilinx FPGA was chosen for its smaller size, meaning the P&R algorithm must work harder to reach the desired frequency due to limited space.

A twofold increase in power efficiency is a significant improvement, particularly on edge devices. Would anyone purchase a Xilinx Versal product when Intel Agilex provides twice the performance per watt? The context, according to Deepali Trehan, Vice President of Intel's Programmable Solutions Group, is that Xilinx has a large market share in FPGAs, so one would expect Xilinx to be smaller if it offered half the performance per watt. It is not a definitive answer, though, the basis on market share and delta scale, as this appears to be a very narrow and specific claim being highlighted, so one may take it with a grain of salt.

In terms of power benchmark, Xilinx's Virtex-7 X690T FPGA achieved superior performance compared to Altera's Early Power Estimator (EPE). Overall, Xilinx and Altera provide different levels of performance and design tools which makes them ideal for different applications. Hence, it is wise to consider all aspects of the project. Let us take a look at the following example.

Intel ARRIA 10 GX480 F35 vs Xilinx UltraScale KU035 A1156

Intel ARRIA 10 GX480 F35 vs Xilinx UltraScale KU035 A1156

As an example, let us examine how Intel ARRIA 10 GX480 F35 and Xilinx UltraScale KU035 A1156 perform in comparison to each other.

What were the requirements needed for FPGAs in the project?
  • 20Mb RAM
  • Minimum of 440 I/O's (including Transceivers, LVDS, etc.)
  • LVDS lines
  • Transceivers for PCI-E Gen3 * 8
What was the test bench hardware used for comparison?
  • Intel: Quartus version 17.0.0 and E5–2643 @3.4GHz (Xeon), 32GB RAM
  • Xilinx: Vivado version 2016.4 and I7–6700 @3.4GHz, 32GB RAM
  • Computer machines for compilation (both with Windows 7 operating systems)
How was the comparison carried out?
  • A VHDL component of a state machine (about 20 states) was used, running at 400MHz
  • Two sizable projects were developed based solely on this component, both in Intel (Quartus) and Xilinx (Vivado).
  • Following each successful compilation, the timing analysis was verified, and the component to test the FPGA capabilities was recreated (space, frequency).
  • Virtual pins on all comps were utilized, which eliminates the need to interconnect the comp ports to the FPGA pins (no connection to IO buffers).
  • No changes were made to any of the software. The implementation/synthesis settings were kept at their defaults.
What were the results of the comparison?

The results of the comparison showed that Xilinx had a 20% faster compilation time. It has a more stable and higher frequency, with almost 50% less power consumption, and an 86% utilization ratio compared to Intel.

Even when comparing Intel's GX320 to Xilinx's KU035, Xilinx performs better in all cases. Considering the above data, given that the cost and the engineer's skills are factored in, Xilinx is the better choice for the project's requirements.

FPGA Price Comparison

Xilinx and Altera are two leading manufacturers of Field Programmable Gate Array (FPGA) chips, offering a wide range of products that cost from a few to several thousand dollars.

Xilinx's least expensive FPGA chips are available in the market for around $8, while Altera's lower-end chips typically cost $12. However, the Virtex 7 FPGA from Xilinx is considerably more expensive at almost $40,000 per unit. In consideration of the high price, this is still quite cheap in comparison to other FPGA chips. Xilinx is mainly focused on applications and has boards with 192Gb of aggregate bandwidth. On the other hand, Altera is better known for its cost-sensitive applications and integration with Xeons in the accelerator market due to its ownership by Intel. Altera's Artix UltraScale family provides high I/O and high DSP compute FPGAs, with up to 192Gb of aggregate bandwidth, making it an excellent feature.

Despite the difference in cost, both Xilinx and Altera offer excellent features at competitive prices. They offer different advantages depending on the application, making them both great choices for cost-sensitive applications.

Conclusion

FPGAs are integral to many of the most advanced applications in electronics. For the past three decades, Xilinx and Altera have been engaged in a spirited rivalry to establish the leading position in FPGAs. These two leading FPGA vendors offer a range of devices to suit different needs. Before you go out and buy a new FPGA, you need to understand the differences that exist between them.

Xilinx is more focused on the processor and FPGA integration, while Altera's FPGAs are well-suitable for system-on-chip designs. In terms of architecture, Xilinx's logic unit relies on a direct approach, while Altera makes use of programmable clock tree synthesis. With regard to software tools, one may find Altera's GUI easier to work with than Xilinx's ISE. Xilinx has a more elaborate GUI suitable for experienced users.

Although both Xilinx and Altera have their own advantages and disadvantages, we can safely say that their FPGA products are of equally high quality. When it comes to a head-to-head comparison, both vendors offer highly competitive products and services, that can accommodate different needs, making it difficult to pick just one. The comparison between these two is technically not as simple as nailing down the capabilities of FPGAs to a single number, where the engineer(s) skills should also be considered. Ultimately, it really depends on the application for which one is best suited, as they are both relatively similar in features.

Tag: FPGA Xilinx FPGA Altera FPGA
  • PCB
    Prototype
  • PCB
    Assembly
  • SMD
    Stencil

Dimensions: (mm)

×

Quantity: (pcs)

5
5
10
15
20
25
30
40
50
75
100
120
150
200
250
300
350
400
450
500
600
700
800
900
1000
1500
2000
2500
3000
3500
4000
4500
5000
5500
6000
6500
7000
7500
8000
9000
10000

Other Quantities:(quantity*length*width is greater than 10㎡)

OK

Layers:

Thickness:

Quote now